To effectively manage power in Globally-Asynchronous Locally-Synchronous (GALS) systems with many interconnected nodes, each should be ideally provided with an individual Dynamic Voltage and Frequency Scaling (DVFS) mechanism. However, full-blown DVFS requires complex voltage regulators and PLL or DLL circuits. To reduce the difficulty of integrating many complex DVFS controllers, we propose a DVFS system that makes use of 1) voltage dithering between a few voltage levels, 2) a scheduler that selectively kills ticks of a high-frequency clock to create an “effective” clock frequency and 3) a local distributed clock-gating mechanism that periodically stalls the registers of a pipeline without incurring the penalties of global clock gating. We report results obtained on a CMOS 45 nm technology and show that the behavior is close to that of an ideal DVFS, even with only two voltage levels.

DVFS Based on Voltage Dithering and Clock Scheduling for GALS Systems / Yadav, MANOJ KUMAR; Casu, MARIO ROBERTO; Zamboni, Maurizio. - ELETTRONICO. - (2012), pp. 118-125. (Intervento presentato al convegno 2012 IEEE 18th International Symposium on Asynchronous Circuits and Systems tenutosi a Copenhagen (DK) nel 7-9 May 2012) [10.1109/ASYNC.2012.16].

DVFS Based on Voltage Dithering and Clock Scheduling for GALS Systems

YADAV, MANOJ KUMAR;CASU, MARIO ROBERTO;ZAMBONI, Maurizio
2012

Abstract

To effectively manage power in Globally-Asynchronous Locally-Synchronous (GALS) systems with many interconnected nodes, each should be ideally provided with an individual Dynamic Voltage and Frequency Scaling (DVFS) mechanism. However, full-blown DVFS requires complex voltage regulators and PLL or DLL circuits. To reduce the difficulty of integrating many complex DVFS controllers, we propose a DVFS system that makes use of 1) voltage dithering between a few voltage levels, 2) a scheduler that selectively kills ticks of a high-frequency clock to create an “effective” clock frequency and 3) a local distributed clock-gating mechanism that periodically stalls the registers of a pipeline without incurring the penalties of global clock gating. We report results obtained on a CMOS 45 nm technology and show that the behavior is close to that of an ideal DVFS, even with only two voltage levels.
2012
9780769546889
File in questo prodotto:
Non ci sono file associati a questo prodotto.
Pubblicazioni consigliate

I documenti in IRIS sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Utilizza questo identificativo per citare o creare un link a questo documento: https://hdl.handle.net/11583/2497546
 Attenzione

Attenzione! I dati visualizzati non sono stati sottoposti a validazione da parte dell'ateneo